19

VHDL é uma linguagem muito presente em livros que tratam de circuitos lógicos, porém pouco é falado dela em outros contextos. Nem mesmo existe a tag no Stack Overflow em Português. Então:

  • VHDL é uma linguagem de programação?
  • Para que serve basicamente a linguagem VHDL? Onde é utilizada?
  • Ela só é utilizada com portas lógicas ou possui outra aplicação?
4

4 Respostas 4

12

VHDL é uma linguagem de programação?

Você considera uma Gramática Irrestrita uma linguagem de programação? Cada Gramática dessas resolve um problema do mesmo modo que uma Máquina de Turing resolve um problema. Inclusive dá para escrever todo o processamento de uma Máquina de Turing usando Gramáticas Irrestritas. Eu descrevi uma Gramática Livre de Contexto que escreve/reconhece Gramáticas Irrestritas numa resposta sobre O que é uma meta linguagem?.

Convenhamos que não parece muito programático isso... Descrição de circuitos (incluso circuitos reentrantes para fazer memórias e latches) parece-me um pouco mais relacionado à programação do que Gramáticas Irrestritas. Também podemos fazer toda uma programação usando XML, com tags de if, for e chamadas de função (inclusive permitindo recursão), mas XML continua sendo uma linguagem de marcação.

Sinceramente, acho que mesmo Turing Completo (ou o mais próximo que os circuitos permitam), VHDL não deveria ser considerado linguagem de programação. Entretanto, também não é considerado linguagem de programação, mas ainda assim é pertinente ao SOpt.

Para que serve basicamente a linguagem VHDL? Onde é utilizada?

Eu utilizei para fazer um relógio e manipular display de 8 segmentos, mas isso foi só uma experiência da faculdade. Você pode implementar toda uma ULA (unidade lógico-aritmética) do processador com VHDL. Também tem aplicação para tornar partes específicas de redes neural perceptron-símile mais rápida.

No Laboratório de Redes da Universidade Estadual do Ceará (LARCES-UECE), o pessoal usava VHDL e Verylog HDL para programadar net-FPGAs e criar um emissor na ordem de gigabyte por segundo. Muito útil para ver se um switch aguenta a carga, consegue escrever muito mais agilmente do que se sonha usando um computador tradicional, notebook ou desktop.

Ela só é utilizada com portas lógicas ou possui outra aplicação?

creio que não tenho nada a acrescentar nesse ponto, veja a resposta do UzmkArtanis

UPDATE

Segundo a IEEE, VHDL é uma linguagem de programação. De descrição que a IEEE fornece nesse link sobre VHDL:

A hardware description language used in the creation and analysis of electronic circuits.

Em tradução livre:

Uma linguagem de descrição de hardware usada na criação e análise de circuitos eletrônicos.

No rankeamento IEEE (a própria página fornece outras alternativas para o rankeamento), VHDL ocupa a 7a posição de linguagem de programação voltada para sistemas embarcados e 30a considerando todos os nichos disponíveis.

Fontes ratificantes

No ranking da TIOBE index de julho de 2017, VHDL está na posição 99, e Verilog (outra linguagem de descrição de hardware) na 98.

Criticismo à classificação IEEE de linguagem de programação

Não encontrei em nenhum lugar descrito o que é considerado como linguagem de programação pela IEEE. Encontrei apenas o método de rankeamento quantitativo, mas não consegui reparar se em algum momento ele cita o que ele considera linguagem de programação.

Nesse ranking, a IEEE colocou também como linguagem de programação o seguinte:

  1. Arduino

    Based on Processing, the native language for the Arduino microcontroller has become the basis of a huge number of home-brew and prototype devices.

  2. HTML

    A specialized language for describing the appearance and content of Web pages.

Sobre HTML, considero que foi um vacilo estranho.

Mais sobre HTML vs linguagem de programção aqui, aqui, aqui e aqui.

Sobre Arduino, a explicação da IEEE é que é uma linguagem derivada de Processing; quando ele se referia a Arduino na lista, ele se refere a este excerto (fonte):

In addition to using traditional compiler toolchains, the Arduino project provides an integrated development environment (IDE) based on the Processing language project.

Em tradução livre:

Além dos tradicionais toolchains de compilação, o projeto Arduino também fornece uma IDE baseada na linguagem projeto Processing

10

Linguagem VHDL

VHDL é a sigla de VHSIC Hardware Description Language, onde VHSIC é a sigla de Very High Speed Integrated Circuits; ou seja, em uma tradução livre, significa linguagem de descrição de hardwares para circuitos integrados de altíssima velocidade. O próprio nome diz: linguagem de descrição. O conceito de linguagem de programação é um tanto quanto abstratos (no meu entendimento, pelo menos), pois em um senso mais comum a linguagem de programação é aquela capaz de criar um programa - sequência de comandos que instruem e guiam a execução em hardware para efetuar uma determinada tarefa. Nesta definição, VHDL não é uma linguagem de programação, pois o resultado de um código VHDL não é um programa, mas um circuito eletrônico - na verdade um mapeamento de rotas que definirão o circuito quando gravado em um circuito integrado tal como ASIC ou FPGA. Porém, outra definição aceita para uma linguagem de programação é ser Turing Complete. É possível implementar uma máquina de Turing com VHDL, então isso faz dela uma linguagem Turing Complete, sendo, nesta definição, uma linguagem de programação. Na minha experiência própria, sendo ou não uma linguagem de programação e sendo você iniciante em VHDL, não faça a associação. É muito comum ver pessoas iniciando no VHDL escrevendo códigos da forma que escrevem programas em C/Python/etc e isso causa muita confusão. Enquanto nessas linguagens você sabe que o código é sequencial, um comando executado após o outro, no VHDL ocorre tudo de forma paralela, pois como trata-se de um circuito eletrônico, você não está trabalhando com valores armazenados em uma memória, mas sim de sinais elétricos.

Leituras interessantes:

O que caracteriza uma linguagem de programação?

O que é linguagem de programação, IDE e compilador?

Senta que lá vem história

A história do VHDL é bem simples e muito útil para entender a essência da linguagem. Ela foi desenvolvida pela DARPA, Defense Advanced Research Projects Agency ou Agência de Projetos de Pesquisa Avançada de Defesa dos Estados Unidos com a finalidade de documentação dos projetos desenvolvidos por terceiros e fornecidos às Forças Armadas. Tratando-se de tecnologia militar, por questões de segurança, uma empresa não tinha acesso ao projeto inteiro e a mesma necessitava desenvolver apenas uma parte dele sem ter conhecimento do resto. Ter inúmeras empresas servindo inúmeras documentações com padrões distintos e esquemáticos de circuitos complexos fazia o processo de unificação destas partes muito dispendiosa. Assim, resolveram criar uma linguagem de descrição de hardware, no qual todos os fornecedores de tecnologia deveriam utilizar para documentar seus produtos, facilitando, então, o desenvolvimento do projeto em si. De início, a única função da linguagem era a descrição do circuito, então não passava de um texto estruturado, porém já estavam estudando concomitantemente uma forma de desenvolver uma linguagem capaz de gerar circuitos a partir de uma definição textual e a estrutura definida pelo VHDL se mostrou bastante promissora. Pouco tempo depois, então, já surgiram os sintetizadores de VHDL, software capaz de gerar o circuito a partir do VHDL, e os simuladores, softwares capaz de simular o comportamento do circuito a partir do código VHDL. Isso tudo aconteceu nos meados dos ano 1980 e já em 1987 a linguagem VHDL foi posta em domínio público, sendo padronizada pela IEEE (IEEE 1076). Em domínio público, como é de se esperar, a linguagem cresceu rapidamente, tendo uma nova versão lançada em 1993, alterações feitas em 2000 e 2002 e a última versão sendo lançada em 2008 - quase 10 anos da última versão e os sintetizadores comerciais ainda não suportam completamente esta versão (ao meu ver, o ponto mais negativo da linguagem).

Aplicações e Uso

Como citado na pergunta, uma das aplicações mais comuns e simples da linguagem é no estudo de circuitos lógicos e álgebra booleana. Muitos livros da área utilizam exemplos em VHDL e muitas universidades utilizam a linguagem como ferramenta no estudo inicial de sistemas digitais. Por exemplo, considerando a porta lógica AND abaixo, é possível identificar duas entradas, A e B, e uma saída, C. O comportamento esperado da porta lógica é apresentado na tabela verdade.

inserir a descrição da imagem aqui

Fonte: https://www.embarcados.com.br/cis-de-portas-logicas/

Com VHDL é possível reproduzir o comportamento desta porta lógica para fins educacionais. Com a palavra reservada entity é possível criar uma caixa preta, definindo as entradas e saídas do nosso projeto. Neste caso, as entradas A e B e a saída C; todas representam sinais digitais e portanto podem ser representado por um bit. Diz-se caixa preta pois apenas é definido as entradas e saídas do circuito, sem definir seu comportamento. Veja a implementação abaixo:

entity porta_e is
    port (
        signal A: in bit;
        signal B: in bit;
        signal C: out bit
    );
end entity;

Isto é, criamos uma entidade chamada porta_e que possui três portas: o sinal A, entrada do tipo bit, o sinal B, entrada do tipo bit, e o sinal C, saída do tipo bit. Perceba que não foi definido como serão tratados esses sinais nem qual é o comportamento da nossa entidade. Com entity é apenas definido a interface do componente com o mundo externo. O comportamento do circuito é definido utilizando a palavra reservada architecture:

architecture rtl of porta_e is
begin
    C <= A and B;
end architecture;

Neste caso, criamos uma arquitetura chamada rtl, vinculada à entidade porta_e, que define o comportamento desejado para nosso circuito, ou seja, o sinal C recebe o resultado da operação and entre A e B. O operador and para operandos do tipo bit já possui implementação nativa no VHDL e é interpretado corretamente por qualquer sintetizador. O código completo da porta AND entãp ficaria:

entity porta_e is
    port (
        signal A: in bit;
        signal B: in bit;
        signal C: out bit
    );
end entity;

architecture rtl of porta_e is
begin
    C <= A and B;
end architecture;

A título de exemplo, sintetizando o código acima com o Quartus Prime Lite Edition, da Altera (uma das maiores empresas no ramo de FPGAs), gera o seguinte circuito:

inserir a descrição da imagem aqui

Exatamente o circuito que esperávamos obter, mas essa é uma das aplicações mais básicas da linguagem. Sua aplicação em si não tem como ser descrita, varia de acordo com a necessidade e criatividade de cada um, pois qualquer circuito digital pode ser implementado utilizando VHDL. Pode ir de uma simples porta lógica, como mostrado, até um modem para transmissões em altas velocidades através de fibra ótica ou mais complexo que isso. A título de exemplo, é possível recriar microcontroladores, permitindo até que você o programe utilizando outras linguagens, como C ou Assembly. É possível criar drivers de vídeo do zero, drivers de áudio, memórias, etc. Basicamente qualquer circuito digital. O circuito gerado em VHDL que faz o cálculo da Transformada de Fourier, por exemplo, é tão grande que é impossível representá-lo de forma visível aqui (e nem é ele completo):

inserir a descrição da imagem aqui


Um Pequeno Jabá

Um exemplo do que pode ser feito com VHDL é um projeto que desenvolvi junto com 2 colegas durante a graduação. O projeto consiste em ligar uma câmera filmadora e um monitor à placa de desenvolvimento. Com VHDL, gera-se uma imagem padrão (listras pretas e cinzas no vídeo abaixo) que é exibida no monitor. Com a filmadora, captura-se a imagem do monitor e envia à placa onde a imagem será tratada pelo VHDL. O VHDL, então, compara a imagem que recebeu da filmadora com a imagem que ele enviou ao monitor e executa determinadas ações conforme a diferença na imagem. Neste caso, o VHDL reconhecia a posição da mão frente ao monitor e tocava um som diferente para cada listra da imagem. O objetivo era recriar um comportamento semelhante ao touch screen, ou melhor, sensível ao movimento completamente com VHDL, ou seja, toda a lógica e processamento digital de imagem é feito em hardware, sem qualquer outra linguagem de programação ou uso de bibliotecas de tratamento de imagens.

https://youtu.be/xXxqDf_zIt8

2
  • Quando diz "os sintetizadores comerciais ainda não suportam completamente esta versão". Essas funções não suportadas ainda podem ser simuladas (dá para simular, mas não para da sintetizar)?
    – Inkeliz
    29/06/2017 às 14:09
  • @Inkeliz depende do simulador utilizado. Acredito que nenhum possui suporte a todas mudanças propostas na versão 2008, mas mas alguns já suportam grande parte.
    – Woss
    29/06/2017 às 14:10
7

De acordo com a própria wiki de tag SOen e da Wikipédia, com tradução livre, adaptado para pergunta:

VHDL é uma linguagem de programação?

Depende, você está perguntando pra quem? Há quem discorde, há quem concorde. No meu ponto de vista, sim, e pela votação no meta também concordam que é.

Alguns pontos da linguagem são operadores e expressões, constantes, controles condicionais, pacotes, variáveis.


Para que serve basicamente a linguagem VHDL? Onde é utilizada?

VHDL - Linguagem de Descrição de Hardware - é um idioma usado no design eletrônico para descrever sistemas digitais, como arrays de portas programáveis em campo e circuitos integrados. O VHDL é uma linguagem de descrição de hardware.


Ela só é utilizada com portas lógicas ou possui outra aplicação?

O VHDL é comumente usado para escrever modelos de texto que descrevem um circuito lógico. Esse modelo é processado por um programa de síntese, apenas se for parte do design da lógica. Um programa de simulação é usado para testar o design da lógica usando modelos de simulação para representar os circuitos lógicos que se interagem com o projeto.

É usado também em CPLD, FPGA e ASIC.


Pode não ser um tema conhecido, mas é sempre bom agregar conhecimento que faça bem ao site.

Creio que não tenho nada a acrescentar nesse ponto, veja a excelente resposta do Jefferson Quesado

0
0

VHDL é sim uma linguagem de programação. Só que tem particularidades relativas aos objetivos dela, que são simulação e implementação de circuitos digitais em microeletrônica.

Ela é comumente usada, assim como a Verilog, outra linguagem do ramo, para descrever os circuitos de hardware presentes nos chips de computador. Usá-la é extremamente mais prático que desenhar as portas lógicas e suas conexões.

Além de descrever como deve funcionar ou como de fato deve ser um determinado circuito digital, ela fornece meios adequados para escrever pequenos programas, chamados de testbenches, que não são destinados a se tornarem hardware físico, mas servem como um meio de testar o funcionamento do hardware em desenvolvimento, por meio de simulações no computador.

Você deve fazer log-in para responder a esta pergunta.

Esta não é a resposta que você está procurando? Pesquise outras perguntas com a tag .